🟩Intel ordered latest equipment from ASML

Ordered high-aperture EUV lithography equipment for mass production from ASML ahead of other companies
Access Denied

🟩 Intel’s Latest EUV lithography equipment ordered from ASML ahead of other companies

Intel announces that it has placed an order for ASML’s second-generation EUV (extreme ultraviolet) equipment to be deployed for the first time in the industry 。 TWINSCAN EXE: 5200 lithography device with a high numerical aperture of 0.55 for high resolution and productivity. Intel had announced plans to introduce high numerical aperture technology at the Accelerated event in July 2021. In 2018, we introduced a second-generation device with a numerical aperture of 0.55, but this time we ordered a successor for mass production.

Lithography device resolution

The resolution of the lithography device is determined by a combination of the wavelength used (13.5 nm for EUV) and the numerical aperture (NA). It is proportional to the wavelength of the exposure and inversely proportional to the numerical aperture of the optical system. In other words, to increase the resolution, it is necessary to increase the numerical aperture. The exposure system of the first-generation EUV used lenses with an aperture of 0.33, but the design of the new optical system was changed to increase the numerical aperture. This improves resolution and enables high-resolution patterning of transistor functions.

🟩 Intel lagged behind rivals

Intel lags behind rivals TSMC and Samsung in advanced processes. Since it is lagging behind in the first-generation EUV lithography technology with a numerical aperture of 0.55, it seems that they want to adopt next-generation EUV equipment first.

Return to the top in 2025

Intel plans to return to the cutting edge with the Intel 18A=1.8nm process, which is scheduled to be released in 2025. The productivity of the new equipment is more than 200 wafers (WPH) per hour. With a conventional manufacturing device with an aperture of 0.33, more than 160 wafers per hour are used, so the productivity is calculated to be more than 20%.

🟩Summary

Intel ordered a high-aperture EUV lithography system from ASML ahead of other companies to return to the top of advanced processes in 2025

Rival TSMC has installed more than 100 EUV lithography units by 2021 and plans to install 84 units in 2022. Even if productivity is increased by introducing advanced equipment, it may be difficult to reverse the situation because the scale of introduction of the equipment is an order of magnitude different.

タイトルとURLをコピーしました